ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

Quartus Desarrollo


Enviado por   •  21 de Junio de 2015  •  298 Palabras (2 Páginas)  •  185 Visitas

Página 1 de 2

VI. DESARROLLO

El objetivo de la práctica es conocer y manipular a fondo el software Quartus II diseñar un circuito lógico para luego transferir al dispositivo FPGA Altera disponible en el laboratorio.

En la barra de herramientas encontramos un icono con una especie de una compuerta nand que al seleccionar con el apuntador nos muestra el nombre Symbol Tool es aquí donde encotramos todos los componentes lógicos, fuentes, entradas,salidas Latch’s,funciones aritméticas.mux,etc.

Figura 6. Ventana correspondiente a Symbol Tool.

Diseñamos un circuito lógico en donde usamos compuertas lógicas y un flip flop tipo D como se observa en la siguiente figura.

Figura 5. Esquema del circuito en Quartus II.

Luego del diseño se procede a la asignación de pines en el módulo de trabajo para lo cual nos basamos en el manual de Altera en donde está el número del Pin y el alias de asignación, con esta información designamos en nuestro circuito las entradas y salidas.

Figura 6. Asignación de pines.

Por ultimo asignado ya los pines, damos click en compilar comprobamos que no tiene errores y nos disponemos a transferir al módulo por medio del icono de la barra de herramientas Programmer, para ello debe estar ya instalado los drivers de comunicación USB-Altera.

En las siguientes tablas comprobamos el funcionamiento mediante las tablas de verdad del circuito lógico y del tipo de flip flop utilizado en este caso Latch Tipo D.

Tabla 1. Tabla de verdad del circuito.

A B C D Salida(D)

0 0 0 0 0

0 0 0 1 0

0 0 1 0 0

0 0 1 1 1

0 1 0 0 0

0 1 0 1 0

0 1 1 0 0

0 1 1 1 1

1 0 0 0 0

1 0 0 1 0

1 0 1 0 0

1 0 1 1 1

1 1 0 0 0

1 1 0 1 1

1 1 1 0 0

1 1 1 1 1

Tabla 2. Tabla de verdad del Flip-Flop Tipo D

D CLK Q

0 TPP 0

1 TPP 1

VII. CONCLUSIONES Y RECOMENDACIONES

...

Descargar como (para miembros actualizados)  txt (2.2 Kb)  
Leer 1 página más »
Disponible sólo en Clubensayos.com