ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

Dispositivo Lógico Programable


Enviado por   •  11 de Noviembre de 2012  •  Informes  •  243 Palabras (1 Páginas)  •  258 Visitas

PLD's

Dispositivo Lógico Programable

Son circuitos integrados que ofrecen a los diseñadores en un solo chip, un arreglo de compuertas lógicas y flip-flop’s.

Pueden ser programados por el usuario para implementar funciones lógicas.

Las ventajas que trae con respecto a los circuitos integrados de funciones fijas son:

Los PLD’s representan menor costo para los fabricantes.

Pueden reemplazar funciones de otros dispositivos lógicos.

Reducción de espacio en las tarjetas de circuito impreso.

Simplificación del alambrado entre unos chips y otros.

Disminución en los requerimientos de potencia ( por consiguiente menor consumo de energía )

Realización de aplicaciones especiales no encontradas en circuitos integrados de funciones fijas.

Puede reflejarse menor costo para el usuario al ver las ventajas de tener menor cantidad de circuitos integrados; por consiguiente, procesos de ensamblado más rápidos, menor probabilidad de que puedan ocurrir fallas, así como menores procedimientos en la detección defallas cuando estas se presenten.

Un PLD típico está compuesto de arreglos de compuertas lógicas.

Plano AND

Plano OR

Las variables de entrada tienen interconexiones hacia uno de los planos, a través de compuertas con salidas complementarias.

Y salidas de los planos, conectadas a las terminales externas del dispositivo, por elementos lógicos como pueden ser: inversores, compuertas OR y flip-flop’s; además.

En algunos casos existe retroalimentación de las salidas hacia uno de los planos, para tomarlas como entradas nuevamente.

Simbología

Las “ X ” se encuentran en el Plano programable y describen una conexión fusible intacta.

Un punto en el Plano fijo representa una conexión fija y que por supuesto, ya no puede cambiarse.

...

Descargar como (para miembros actualizados)  txt (1.8 Kb)  
Leer 1 página más »
Disponible sólo en Clubensayos.com