ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

Compilar y ver señales en Verilog


Enviado por   •  14 de Octubre de 2022  •  Tutoriales  •  293 Palabras (2 Páginas)  •  30 Visitas

Página 1 de 2

Bienvenidos a este tutorial.

En el aprenderás como realizar un test a tu circuito programado en Verilog, y utilizando la herramienta de compilación icarus para Verilog. Para ello debes ingresar algunos comandos en la consola, estando en la carpeta donde se encuentra el archivo que desees comprobar.

Para ejecutar el test del programa, se usa:

En primer lugar, escribes el programa que describirá el Hardware. Este puede ser de cualquier tipo (combiancional o secuencial).

Archivo: hello.v

module hello(A,B);

    input A;

    output B;

    assign B = A;

endmodule

Luego, el programa para realizar el test. En este puedes definir la escala de tiempo. Y no te olvides de importar el circuito que vas a probar.

Archivo: hello_tb.v

`timescale 1ns / 1ns

`include "hello.v"

module hello_tb;

reg A;

wire B;

hello uut(A, B);

initial begin

    $dumpfile("hello_tb.vcd");

    $dumpvars(0, hello_tb);

    A = 0;

    #20;

    A = 1;

    #20;

    A = 0;

    #20;

    $display("test complete");

end

endmodule

Posteriormente, escribes el siguiente comando: iverilog -o hello_tb.vvp hello_tb.v, se creará un archivo llamado hello_tb.vvp.

Se utiliza entonces vvp hello_tb.vvp, creando un archivo llamado hello_tb.vcd.

Ejecutas gtkwave desde la consola, se abrirá una pestaña donde podrás ver el resultado del test. 

[pic 1]

Seleccionas el archivo hello_tb.vcd. Luego en SST seleccionas hello_tb y eliges las variables que deseas ver (con CTRL puedes seleccionar más de una). Finalmente presionas en “Append”.

[pic 2]

Con estos comandos e instrucciones, serás capaz de ver como se comportan tus circuitos diseñados. Y encontrar además algunos errores que podrás estar cometiendo.

Este es un tutorial básico, y es probable que necesites profundizar más. Para ello, tienes bastantes recursos en internet.

...

Descargar como (para miembros actualizados)  txt (1.9 Kb)   pdf (155.6 Kb)   docx (77.2 Kb)  
Leer 1 página más »
Disponible sólo en Clubensayos.com