ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

Multiplexor en VHDL


Enviado por   •  10 de Noviembre de 2017  •  Informes  •  351 Palabras (2 Páginas)  •  199 Visitas

Página 1 de 2

[pic 1][pic 2][pic 3][pic 4][pic 5][pic 6][pic 7][pic 8][pic 9][pic 10][pic 11][pic 12][pic 13][pic 14][pic 15][pic 16]

[pic 17]

Una vez ya anotado el nombre que le di a mi archivo que sería MUX2 (le di ese nombre porque ya tenía uno hecho con ese nombre así que decidí generar un nuevo proyecto) ya empecé a generar mi programación en la fuente de VHDL MODULE

Aquí puse de nuevo el nombre de mi proyecto

[pic 18]

Después le di en NEXT, en la carpeta que se abrió definí mis entradas (E, S) y mi salida (O).

En esta parte le di clic en los cuadritos que aparecen a un lado de mis entradas y quedan seleccionadas

[pic 19][pic 20][pic 21]

En mi primera entrada le puse 7 en el primer cuadrito y a si lado derecho por default 0.

En mi segunda entrada le puse 2 en el primer cuadrito y a su lado derecho por default 0.

Luego le di en Next y después Finish.

Ahora puse la siguiente tabla en el apartado de Begin:

O<= E(0) WHEN S="000"

ELSE E(1) WHEN S="001"

ELSE E(2) WHEN S="010"

ELSE E(3) WHEN S="011"

ELSE E(4) WHEN S="100"

ELSE E(5) WHEN S="101"

ELSE E(6) WHEN S="110"

ELSE E(7);

[pic 22]

Una vez puesto ya esa tabla en Begin lo compilamos.

Ya compilado voy a hacer el Test bench selecciono donde dice simulación y abro una nueva fuente en donde ya sabemos en la hojita con un destellito amarillo y seleccione la opción de Test Bench

[pic 23]

3. pongo el nombre que llevara mi Test Bench

2

1

Ya después le pondré la siguiente información en el apartado Insert stimulus here:

E<="10101010"; S<="000"; wait for 50ns; --Se selecciona E0

E<="10101010"; S<="001"; wait for 50ns; -- Se selecciona E1

E<="10101010"; S<="010"; wait for 50ns; -- Se selecciona E2

E<="10101010"; S<="011"; wait for 50ns; -- Se selecciona E3

E<="10101010"; S<="100"; wait for 50ns; --Se selecciona E4

E<="10101010"; S<="101"; wait for 50ns; -- Se selecciona E5

E<="10101010"; S<="110"; wait for 50ns; -- Se selecciona E6

E<="10101010"; S<="111"; wait for 50ns; -- Se selecciona E7

Y le quitamos todos los relojes que haiga:

[pic 24]

Y por último lo simulamos:

[pic 25]

...

Descargar como (para miembros actualizados)  txt (2.1 Kb)   pdf (830.4 Kb)   docx (516 Kb)  
Leer 1 página más »
Disponible sólo en Clubensayos.com