ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

Controladores lógicos programables


Enviado por   •  8 de Diciembre de 2018  •  Ensayos  •  251 Palabras (2 Páginas)  •  85 Visitas

Página 1 de 2

Controladores lógicos programables

Evidencia de Aprendizaje 1

     

        

Nombre: Jaime Josué Coronado Hernández

Matricula: 12754

Fecha: 18 / 10 / 2018


Instrucciones

Conteste los siguientes puntos.

  1. Investigue los modelos de PLC de Allen-Bradley que están en la siguiente tabla y marque los elementos que lo componen, en caso de no tener el elemento ponga NA.

Componente

Micrologix

SCL500

ControLogix

Rack

NA

SI

SI

Fuente

SI

SI

SI

CPU

SI

SI

SI

Módulo I/O

SI

SI

SI

Módulo Especial

SI

SI

NA

  1. Enumera cada una de las Partes del PLC Mircologix 1000.

[pic 2]

( 1 ) Terminales de entradas

( 6 ) Puerto de comunicación

( 4 ) Led indicador de entradas

( 2 ) Terminales de alimentación

(10) Terminales de salidas

( 8 ) Terminal de tierra física

( 5 ) Led de estatus

( 3 ) Agujero de montaje

( 9 ) Salida de DC

( 7 ) Led indicador de salidas

Guarda el archivo con la siguiente nomenclatura: EA1_CLP_XXX (Sustituye estos tres últimos caracteres por las iniciales de tu primer nombre y apellidos, por ejemplo si tu nombre es Pedro Javier Martínez Hernández; tu archivo se llamaría: EA1_ CLP _PMH). Para que tu Facilitador pueda subir la calificación.

Evaluación: Toma en cuenta la siguiente rúbrica al elaborar tu actividad.

Rúbrica para la evaluación de la actividad

Aspectos

Criterio

Ponderación

Formato y extensión

Se identifica el documento con el formato correcto requerido para el nombre del archivo.

El trabajo contiene portada con nombre del alumno y fecha.

La actividad está redactada en forma clara y concisa, se apega a la solicitud de extensión del contenido

2

Evaluación del contenido

Desarrolla los conceptos requeridos.

Contesta correctamente lo que se te indica.

6

Ortografía y redacción

La ortografía  y la redacción es la adecuada

2

Total

10

...

Descargar como (para miembros actualizados)  txt (2 Kb)   pdf (162.2 Kb)   docx (327.1 Kb)  
Leer 1 página más »
Disponible sólo en Clubensayos.com