ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

DISEÑO DE CIRCUITOS COMBINACIONALES CON VHDL


Enviado por   •  8 de Mayo de 2019  •  Prácticas o problemas  •  275 Palabras (2 Páginas)  •  142 Visitas

Página 1 de 2

BENEMÉRITA UNIVERSIDAD AUTÓNOMA DE PUEBLA

Ciudad Universitaria

Facultad de Ciencias de la Electrónica

Ingeniería en Mecatrónica

[pic 6]

[pic 7]

Sistemas Digitales Combinacionales

REPORTE DE PRÁCTICA

DISEÑO DE CIRCUITOS COMBINACIONALES CON VHDL

[pic 8]

Sección 003-Equipo 3

9 de noviembre de 2018

RESUMEN

En esta práctica se realizaran circuitos a nivel programación como electrónico, enfocado en el lenguaje VHDL y las Gal.

OBJETIVO

Diseñar y sintetizar Circuitos Combinacionales usando VHDL

METODOLOGÍA DEL DISEÑO[pic 9]

[pic 10]

[pic 11]

[pic 12]

[pic 13]

[pic 14][pic 15][pic 16]

[pic 17]

[pic 18]

[pic 19]

[pic 20]

[pic 21]

[pic 22]

[pic 23]

[pic 24]

[pic 25]

[pic 26][pic 27][pic 28]

[pic 29]

Simulaciones:

[pic 30]

[pic 31]

[pic 32]

[pic 33][pic 34]

Material utilizado:

  • GAL22v10: es un programable CMOS de alto rendimiento dispositivo lógico procesada en pleno cumplimiento con la norma MIL-STD-883. Este dispositivo de grado militar combina un proceso CMOS de alto rendimiento con la tecnología de puerta flotante eléctricamente borrable para proporcionar el rendimiento de la velocidad más alta disponible de cualquier cualificado militar Dispositivo 22V10. Circuitería CMOS permite la GAL22V10 para consumir mucho menos energía cuando se compara con dispositivos 22V10 bipolares. [3]

RESULTADOS[pic 35]

[pic 36]

[pic 37]

[pic 38]

CONCLUSIONES

        

Con criterio justo, creemos que lo considerable sería optar siempre usar este dispositivo, ya que a pesar de su gran sensibilidad a la estática, cuando se pone en práctica resulta ser muy práctico y a la larga muy económica; sin duda de gran ayuda en el momento de implementar prácticas.

...

Descargar como (para miembros actualizados)  txt (2.9 Kb)   pdf (1.6 Mb)   docx (842.6 Kb)  
Leer 1 página más »
Disponible sólo en Clubensayos.com