ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

Modelos estándar en arquitecturas VHDL


Enviado por   •  22 de Octubre de 2021  •  Informes  •  7.757 Palabras (32 Páginas)  •  180 Visitas

Página 1 de 32

Modelos estándar en arquitecturas VHDL 5

Como puede recordar, la arquitectura VHDL describe cómo se comportará su sistema VHDL. El cuerpo de arquitectura contiene dos partes: la sección de declaración y la sección de inicio donde aparece una colección de asignaciones de señales (concurrentes). Hemos estudiado tres tipos de asignación de señal hasta el momento: asignación simultánea de señal, asignación de señal condicional y asignación de señal seleccionada. Estábamos a punto de describir otra declaración concurrente, la instrucción de proceso, antes de que nos dieran seguimiento lateral. Ahora, vamos a introducir rápidamente un nuevo tema antes de saltar a la declaración de proceso.

Existen tres enfoques diferentes para escribir arquitecturas VHDL. Estos enfoques son conocidos como estilo de flujo de datos, estilo estructural y arquitecturas de estilo de comportamiento. El enfoque estándar para aprender VHDL es introducir cada uno de estos estilos arquitectónicos de forma individual y diseñar unos circuitos usando ese estilo. Aunque este enfoque es bueno desde el punto de vista de mantener las cosas sencillas mientras está inmerso en el proceso de aprendizaje, también es un poco engañoso porque los circuitos VHDL más complicados usan generalmente una mezcla de estos tres estilos. Tenga esto en cuenta en la siguiente discusión de estos estilos. Sin embargo, pondremos la mayor parte de nuestro enfoque en arquitecturas de flujo de datos y comportamiento. El modelado estructural es esencialmente un método para combinar un conjunto existente de modelos VHDL. En otras palabras, el modelado estructural soporta la interconexión de cajas negras pero no tiene la capacidad de describir las funciones lógicas utilizadas para modelar el funcionamiento del circuito. Por esta razón, es menos de un método de diseño y más de un enfoque para la interconexión de módulos previamente diseñados.

La razón por la que escogemos deslizar la discusión de las arquitecturas diferentes en este punto es que usted ya tiene cierta familiaridad con uno de los estilos. Hasta este punto, todos nuestros circuitos han sido implementados usando el estilo de flujo de datos. Estamos ahora en el punto de hablar sobre el estilo de comportamiento de las arquitecturas que se centra principalmente en torno a otra declaración simultánea conocida como la declaración de proceso. Si parece confuso, parte de la confusión debe desaparecer una vez que comencemos a tratar con los circuitos reales y el verdadero código VHDL.

5.1 Arquitectura de estilo de flujo de datos

Una arquitectura de estilo de flujo de datos especifica un circuito como una representación concurrente del flujo de datos a través del circuito. En el enfoque de flujo de datos, los circuitos se describen mostrando las relaciones de entrada y salida entre los diversos componentes integrados del lenguaje VHDL. Los componentes integrados de VHDL incluyen operadores como AND, OR, XOR, etc. Las tres formas de declaraciones simultáneas de las que hemos hablado hasta ahora (asignación simultánea de señal, asignación de señal condicional y asignación de señal seleccionada) son todas las declaraciones que son Que se encuentran en arquitecturas de estilo de flujo de datos. En otras palabras, si utilizó exclusivamente una instrucción de asignación de señal concurrente, condicional y seleccionada en sus modelos VHDL, ha utilizado un modelo de flujo de datos. Si tuviera que volver a examinar algunos de los ejemplos que hemos hecho hasta ahora, de hecho puede ver cómo los datos fluyen a través del circuito. En otras palabras, si tiene un conocimiento práctico de la lógica digital, es bastante sencillo imaginar los circuitos subyacentes en términos de puertas lógicas estándar. Estas instrucciones de asignación de señal describen de manera efectiva cómo los datos fluyen de las señales en el lado derecho del operador de asignación (la "<=") a la señal en el lado izquierdo del operador.

El estilo de flujo de datos de la arquitectura tiene sus puntos fuertes y puntos débiles. Es bueno que pueda ver el flujo de datos en el circuito examinando el código VHDL. Los modelos de flujo de datos también le permiten hacer una estimación inteligente de cómo la lógica real aparecerá cuando decida sintetizar el circuito. El modelado de flujo de datos funciona bien para circuitos pequeños y relativamente simples. Pero a medida que los circuitos se vuelven más complicados, a menudo es ventajoso cambiar a modelos de estilo conductual.

5.2 Arquitectura de estilo conductual

En comparación con la arquitectura de estilo de flujo de datos, la arquitectura de estilo de comportamiento no proporciona detalles sobre cómo se implementa el diseño en el hardware real. El código VHDL escrito en un estilo de comportamiento no refleja necesariamente cómo se implementa el circuito cuando se sintetiza. En cambio, el estilo de comportamiento modela cómo reaccionarán las salidas del circuito a las entradas del circuito. Mientras que en el modelado de flujo de datos es necesario tener una idea de la lógica subyacente en el circuito, los modelos conductuales le proporcionan varias herramientas para describir cómo se comportará el circuito y dejar los detalles de implementación hasta la herramienta de síntesis. En otras palabras, el modelado de flujo de datos describe cómo debe verse el circuito en términos de puertas lógicas mientras que el modelado de comportamiento describe cómo debe comportarse el circuito. Por estas razones, el modelado conductual se considera más alto en el nivel de abstracción del circuito en comparación con los modelos de flujo de datos. Es la herramienta de sintetizador VHDL que decide la implementación del circuito real. En un sentido, el modelado de estilo conductual es el último enfoque de "caja negra" para diseñar circuitos.

El corazón de la arquitectura de estilo de comportamiento es la declaración de proceso. Este es el cuarto tipo de declaración concurrente con la que trabajaremos. Como verá, la instrucción de proceso es significativamente distinta de las otras tres declaraciones concurrentes de varias maneras. La diferencia principal radica en el enfoque de la instrucción de proceso de concurrencia, que es el principal punto de fricción cuando se trata de esta nueva declaración concurrente.

5.3 Declaración del proceso

La propia instrucción de proceso es una sentencia concurrente identificada por su etiqueta, su lista de sensibilidad, un área de declaración y un área de comienzo-final que contiene instrucciones ejecutadas secuencialmente. Un ejemplo de la instrucción de proceso se muestra en el Listado 5.1.

El punto principal a recordar acerca de la declaración del proceso es que su cuerpo está constituido por declaraciones secuenciales. La principal diferencia entre las sentencias de asignación de señales simultáneas y las instrucciones de proceso reside en estas instrucciones secuenciales. Pero una vez más, sigamos las similitudes antes de sumergirnos en las dierencias. La etiqueta de proceso, que aparece en el Listado 5.1, es opcional pero siempre debe incluirse para promover la autodescripción de su código VHDL.

...

Descargar como (para miembros actualizados) txt (49 Kb) pdf (140 Kb) docx (565 Kb)
Leer 31 páginas más »
Disponible sólo en Clubensayos.com