ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

Display De 7 Segmentos


Enviado por   •  11 de Septiembre de 2013  •  903 Palabras (4 Páginas)  •  1.463 Visitas

Página 1 de 4

PRACTICA #5.

Contador sincrónico en un Display de 7 segmentos

(Contar de 0 a 9).

INTRODUCCION.

La utilización de estos dispositivos, entre ellos uno de los más avanzados el FPGA trae grandes ventajas ya que se caracterizan por facilidad en uso, flexibilidad para adaptarse a diversas aplicaciones y permiten el diseño de equipos con un tamaño más reducidos, todo ello a un costo relativamente bajo por el hecho de ser programables.

Para desarrollar el divisor de frecuencia tenemos que tener en cuenta que la frecuencia interna de la FPGA es de 50 MHZ por lo tanto al desarrollar el VHDL es necesario considerarlo.

OBJETIVOS ESPECIFÍCOS.

• Conocer y utilizar adecuadamente el software QUARTUS II.

• Implementar el esquema con un contador 74162 y un decodificador BCD de 7 segmentos 7447 en el software QUARTUS II.

• Generar código VHDL para lograr un divisor de frecuencia.

• Conocer los resultados del circuito y asignarle debidamente los pines para la previa simulación y comprobar su funcionamiento en la tarjeta DE2-70 de altera.

MATERIAL/EQUIPO.

Para la realización de esta práctica se utilizo los siguientes dispositivos e instrumentos:

• Computadora de escritorio con la instalación del software QUARTUS II v.91.

• Tarjeta DE2-70 de ALTERA, familia CYCLONE II FPGA, nombre del dispositivo “EP2C70F896C6”.

• Otros medios (Internet, USB flash).

• Manual de usuario del laboratorio de electrónica (ANEXO_1).

PROCEDIMIENTO

PASO 1: Crear un proyecto en QUARTUS II.

• Clic en opción CREATE A NEW PROJECT para crear el archivo del proyecto con extensión (.qpf).

• La siguiente ventana mostrara, donde se guardara el archivo del proyecto, primero seleccionamos la ruta de la carpeta.

• C:\altera\91\quartus\contador, y luego asignamos nombre al archivo del proyecto en este caso con el nombre contador y clic en siguiente.

• Se escoge el dispositivo (AVAILABLE DIVICE), y el tipo de tarjeta DE2-70 DE “ALTERA”, se debe seleccionar la FPGA que se programara y seleccionar el nombre del dispositivo “EP2C70F896C6” de la familia CYCLONE II y clic en siguiente y por último FINISH.

PASO 2: Crear un nuevo archivo fuente que contenga el circuito esquemático.

• Clic en NEW para crear un archivo fuente con extensión (.bdf) y escoger la opción (Block Diagram/Schematic File) y guardaremos el archivo con el nombre contador por su defecto con el mismo nombre que tenía el archivo del proyecto.

• Primero colocamos el contador “74162” y luego el decodificador BCD de 7 segmentos“7447”.

• Para empezar debemos colocar 7 salidas para el decodificador BCD de 7 segmentos “7447” para un display de 7 segmentos, y esto lo unimos al contador “74162” que se había seleccionado por su defecto, se añade el acarreo como salida para un aviso de que se cumplió el ciclo de conteo y este permitirá que reinicie el conteo nuevamente de (9) a (0). El contador tiene 4 entradas de dato y los otros como habilitadores asíncronos, necesitamos un pulso para mandar una señal. A continuación se muestra el siguiente circuito:

PASO 3: Crear un nuevo archivo fuente que contenga el código VHDL.

• Clic en NEW para crear un archivo fuente con extensión (.vhd) y escoger la opción (VHDL File) y guardaremos el archivo.

• Se necesita utilizar un divisor de frecuencia, para lograr esta acción de conteo que distinga el ojo humano, se debe realizar el siguiente código en lenguaje VHDL.

• Se debe compilar, una vez insertado el código.

• Luego se debe crear un símbolo, el símbolo que hemos creado por medio del código VHDL, hacer clic en >FILE…

...

Descargar como (para miembros actualizados)  txt (6.9 Kb)  
Leer 3 páginas más »
Disponible sólo en Clubensayos.com