ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

COMPUERTA AND


Enviado por   •  27 de Mayo de 2016  •  Apuntes  •  532 Palabras (3 Páginas)  •  109 Visitas

Página 1 de 3

[pic 1]

Instituto Tecnológico de Puebla

Ingeniería en Electrónica

Materia: Diseño Digital con VHDL

Profesor: M.C. Eduardo Rodríguez Palacios

Práctica:    1          Equipo:  8         

Integrantes del equipo:

Barreto Heredia Maria del Rayo

Machorro Valencia Marco Abraham

Soto Espinosa Erik Daniel

I. Nombre de la práctica

Compuerta AND de 2 entradas

II. Objetivo(s)

Obtener el funcionamiento de una compuerta AND de dos entradas y la simulación digital por medio del lenguaje programable VHDL  

III. Diagrama de bloques

[pic 2]

IV. Código VHDL

CÓDIGO VHDL

library ieee;

use ieee.std_logic_1164.all;

entity compuerta_and is

        port(

                a, b  : in std_logic;

                c     : out std_logic

        );

end entity;

architecture funcional of compuerta_and is

begin

        c<= a and b;

end architecture;

TEST-BENCH DEL CÓDIGO VHDL

library ieee;

use ieee.std_logic_1164.all;

entity tb_compuerta_and is

end entity;

architecture tb_compuerta_and of tb_compuerta_and is

        signal a, b, c  : std_logic;

        component compuerta_and is

                port(

                        a, b  : in std_logic;

                        c     : out std_logic

                );

        end component;

        constant medio_periodo  : time := 500 ns;

begin

        tb : compuerta_and

        port map (a, b, c );

        proceso_a  :  process

        begin

                a<= '0'; wait for medio_periodo;

                a<= '1'; wait for medio_periodo;

        end process;

        proceso_b  :  process

        begin

                b<= '0'; wait for 2.0 * medio_periodo;

                b<= '1'; wait for 2.0 * medio_periodo;

        end process;

end architecture;

...

Descargar como (para miembros actualizados)  txt (2.2 Kb)   pdf (543 Kb)   docx (273.5 Kb)  
Leer 2 páginas más »
Disponible sólo en Clubensayos.com