ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

Practica 7 Química


Enviado por   •  29 de Junio de 2015  •  345 Palabras (2 Páginas)  •  135 Visitas

Página 1 de 2

Arquitectura de Computadoras

Práctica Nro. 7

Objetivo.

Implemente la descripción VHDL de comportamiento para un registro de desplazamiento hacia la derecha con carga paralela de dieciséis bits.

Realice una verificación parcial del modelo utilizando los números de su cédula de identidad.

El banco de pruebas deberá realizar la carga en paralelo de los últimos cuatro dígitos de su cédula y luego realizar dieciséis desplazamientos hacia la derecha entrando ceros por la entrada serial.

Procedimiento.

En una carpeta creada para esta práctica ubique el archivo .vhd con la descripción de comportamiento del registro de desplazamiento hacia la derecha con carga paralela de 16 bits.

Como ejemplo parcial de la descripción:

Deberá crear el archivo .vhd con el banco de prueba.

Como ejemplo, si su número de cédula es 12.345.678, el banco de prueba tendrá la siguiente estructura.

Se asume que las entradas al registro están definidas como RELOJ, RESET, ES, DESPLAZA, CARGA, D. Las salidas Q y SS. La entidad del registro es reg_desp_derecha y la descripción se llama comportamiento_1.

Compile los dos archivos.

Haga doble clic sobre el banco de pruebas.

Añada las señales a la gráfica.

Simule.

Obtenga resultados similares a los mostrados a continuación con los números de su cédula de identidad.

Las salidas mostradas en las gráficas deben ser legibles para poder corregir los resultados obtenidos.

Informe.

Su informe deberá estar en formato Word y debe incluir los siguientes renglones:

1- ( 1 Pto. ) Objetivo de la práctica.

2- ( 6 Ptos. ) Listado de la descripción VHDL del sumador_restador de 4 bits.

3- ( 3 Ptos. ) Listado VHDL del banco de prueba.

4- ( 8 Ptos. ) Captura de imagen con las señales

...

Descargar como (para miembros actualizados)  txt (2 Kb)  
Leer 1 página más »
Disponible sólo en Clubensayos.com