ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

SDI115 - Reporte Tarea Sistemas Digitales


Enviado por   •  27 de Agosto de 2021  •  Tareas  •  2.389 Palabras (10 Páginas)  •  61 Visitas

Página 1 de 10

UNIVERSIDAD DE EL SALVADOR

Facultad de Ingeniería y Arquitectura

Escuela de Ingeniería Eléctrica

[pic 1]

Asignatura: 

Sistemas Digitales I (SDI115 – SDU115)

Docente: 

Ing. Salvador German

Instructores:

Br. Gustavo Isaías Barahona Cerna

Br. Eduardo José Nosthas Díaz

Actividad: 

Tarea #3:

Reloj.

Integrantes:

Carné

Apellidos

Nombres

Carreras

LG19026

Lúe González

Josué Geovanni

Ingeniería Eléctrica

MV18037

Monterrosa Valle

Rosalio Alfredo

Ing. en Sistemas Informáticos

Ciudad universitaria, domingo 13 de junio del 2021

INTRODUCCIÓN

Lo que hemos aprendido y aplicado en los trabajos antes presentados, han sido la aplicación de los sistemas digitales combinacionales.

Se entiende lo anterior como un dispositivo que reacciona solamente a sus puertas de entrada, hemos realizado diversos circuitos que involucran los mismos; por ejemplo, los clásicos de convertidores BCD, los multiplexores, demultiplexores, codificadores, mostrar en un display de 7 segmentos el numero decimal correspondiente a su binario natural; entre otros.

Todos los anteriores se han analizado en función de sus salidas y solamente de esa forman se pueden analizar. Ahora, introducimos un nuevo tipo de circuito, el cual lleva un análisis muy diferente; que son los circuitos secuenciales. Estos, dependen no solo de sus entradas de datos; sino que también de las salidas de ellos mismos o de otros sub elementos que integra un circuito determinado. La aplicación de ellos son diversos, no bastara tan solo ejemplificar los circuitos básicos en este reporte; basta con decir que los circuitos secuenciales lo rodean los flip-flops. Por la naturaleza humana y su variedad de ideas, se han desarrollado tipos de flip flops, para poder dar abasto a la creatividad del diseñador de sistemas digitales. Y es obvio aceptar la idea que la aplicación tiene como límite la imaginación humana. Aquí se presentan simulaciones de distintos flip-flops; también se introducen los contadores y un ejercicio de aplicación diversa; que es la creación de un reloj digital; basándonos en esta idea y aplicando los funcionamientos de estos dispositivos para poder dar solución a los problemas planteados.


OBJETIVO GENERAL

  • Simular en el software TINA circuitos, flip-flops, contadores haciendo un reloj digital, usando códigos VHDL y dar respuesta los puntos de investigación.

OBJETIVOS ESPECIFICOS

  • Realizar códigos VHDL para complementar el circuito.

  • Hacer uso del software de simulación grafica TINA para la creación del reloj digital.

  • Comprender y analizar los resultados obtenidos en el desarrollo del reloj.
  • Saber diferencias los tipos de circuitos visto hasta ahora, y conocer en qué momento usarlos.

ASIGNACIÓN

Considerando lo aprendido en la asignatura Sistemas Digitales I:

Desarrollar y simular un reloj en TINA formato 24 horas en el cual se podrá modificar la hora utilizando pulsadores (3 en total para horas, minutos y segundos). Utilizando los flip-flop que mejor les convenga en modo ASINCRONO o SINCRONO. Asuma un CLK con periodo de 1 segundo y que el display a utilizar tiene integrado un convertidor binario a 7 segmentos.

Descripción del funcionamiento:

Para la realización del simulador de reloj digital, se hará uso de Flip-Flops en modo asíncrono. Se tomará en cuenta el hecho de que el formato solicitado es de 24 horas a la hora de realizar los contadores respectivos.[pic 2]

En los relojes digitales, el número menos significativo avanza del 0 al 9, mientras que el más significativo avanza del 0 al 5. Teniendo claro ello, primero se procederá a diseñar dos tipos de contadores, uno mod10 y otro mod6.

Para representar las horas, se utilizará un contador de 2 bits (mod4) para el número más significativo y un contador mod10. Se hará un arreglo entre ambos para que se pueda reiniciar cuando llegue a la hora ‘24’, el cual será explicado más adelante.

Para el diseño de cada contador mencionado en TINA, se utilizarán Flip Flops JK. [pic 3]

El Preset (P) siempre estará con valor ‘1’, al igual que las entradas J y K, mientras que el CLK dependerá del respectivo valor de Q. Sin embargo, a pesar de que C tendrá en su mayor parte del tiempo valor ‘0’, habrá un momento en el cual va a adquirir el valor de 1, y esto dependerá del mod del contador que se pretenda diseñar.

Contador de mod10:

Haciendo uso de cuatro FF, cubrirá un rango del 0 al 9, reiniciando su cuenta cuando llegue al 10. Su respectiva tabla de verdad se muestra a continuación, considerando que Qi* es el valor al cual cambia Q de forma casi instantánea. Considérese que esto solo ocurrirá cuando P = C = 1.

k

Q3

Q2

Q1

Q0

Q3*

Q2*

Q1*

Q0*

[pic 4]

[pic 5]

0

0

0

0

0

0

0

0

0

1

0

1

0

0

0

1

0

0

0

1

1

0

2

0

0

1

0

0

0

1

0

1

0

3

0

0

1

1

0

0

1

1

1

0

4

0

1

0

0

0

1

0

0

1

0

5

0

1

0

1

0

1

0

1

1

0

6

0

1

1

0

0

1

1

0

1

0

7

0

1

1

1

0

1

1

1

1

0

8

1

0

0

0

1

0

0

0

1

0

9

1

0

0

1

1

0

0

1

1

0

10

1

0

1

0

0

0

0

0

1

1

Encontrando a simple inspección que: [pic 6]

Y a la hora de diseñarlo en TINA, queda el circuito:

[pic 7]

Contador de mod6:

Cubrirá un rango del 0 al 5, reiniciando su cuenta cuando llegue al 6. El análisis que se realizará es similar, pero en este caso se hará uso únicamente de tres FF.

k

Q2

Q1

Q0

Q2*

Q1*

Q0*

[pic 8]

[pic 9]

0

0

0

0

0

0

0

1

0

1

0

0

1

0

0

1

1

0

2

0

1

0

0

1

0

1

0

3

0

1

1

0

1

1

1

0

4

1

0

0

1

0

0

1

0

5

1

0

1

1

0

1

1

0

6

1

1

0

0

0

0

1

1

...

Descargar como (para miembros actualizados)  txt (18.1 Kb)   pdf (614.6 Kb)   docx (970.6 Kb)  
Leer 9 páginas más »
Disponible sólo en Clubensayos.com