ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

Contador De 0000 A 5999 Tiempo Real En VHDL


Enviado por   •  9 de Noviembre de 2013  •  2.318 Palabras (10 Páginas)  •  985 Visitas

Página 1 de 10

Contador de 0000 a 5900 tiempo real

1. INTRODUCCION

Este informe contiene la implementación de un contador de 0 a 5999 el cual fue hecho con la ayuda del programa Quartus II 13 en el cual podemos ver el esquemático de lo descrito con lenguaje VHDL y hacer la respectiva simulación del ejercicio, el contador será implementado en dos estilos de programación como lo es el estructural y el comportamental, cuenta con botones de start, reset, incremental/decremental, pausa/conteo y stop, para la visualización del conteo se hará el uso de los 7 segmentos que tiene la tarjeta DE1 de altera, para el estilo comportamental se hizo también el uso de los leds rojos y verdes.

2. OBJETIVOS

• Comprender el proceso de diseño de sistemas digitales en Quartus II 13 de altera.

• Aprender sobre los diferentes estilos de programación en VHDL.

3. MATERIALES Y EQUIPOS

• Computador portátil.

• Tarjeta de desarrollo altera DE1.

• Software Quartus II 13 de altera.

4. MARCO TEORICO

VHDL: (Very high speed integrated circuit Hardware Description, Language).

Entidad: Es el modelo de interfaz de un circuito con el exterior mediante unos terminales de entrada y de salida.

Arquitectura: Es la especificación del funcionamiento de una Entidad.

Tipos de diseño:

• Flujo de datos:

Se expresa el comportamiento de las señales de salida a partir de las señales de entrada mediante asignaciones concurrentes

• Jerárquico:

Descripción estructural (structural modeling) en la que se descompone en los componentes del sistema y se indican sus interconexiones

• Secuencial:

Se emplean sentencias secuenciales y no concurrentes: PROCESOS. Se ejecutan en un orden determinado, se finaliza la ejecución de una sentencia antes de pasar a la siguiente.

5. DESCRIPCIÓN DEL LABORATORIO

Se propone el diseño de un cronometro digital que permita contar desde 0 hasta 59 segundos con 99 centésimas.

El cronometro debe implementarse de dos formas diferentes empleando dos de los estilos de descripción de hardware mencionados en la introducción de esta guía de laboratorio. Asimismo, debe contar con terminales de inicio (Start) y parada (Stop), Pausa/Conteo, Conteo Incremental/Decremental, Reset y Clock, y presentar la visualización sobre los 4 displays 7 segmentos disponibles en la Tarjeta DE2.

Para garantizar la validez de la medida de tiempo se debe establecer una frecuencia de reloj apropiada para la medición de una centésima de segundo. Para esto se debe agregar un bloque divisor de frecuencia que permita ajustar la frecuencia de 25 MHz, generada a partir del cristal X1 disponible en la tarjeta DE2, a la frecuencia apropiada para cumplir los requerimientos de diseño propuestos.

Se debe presentar el modelamiento (diagrama esquemático de la implementación), las dos descripciones en VHDL, así como la simulación en Quartus II y la verificación de su correcto funcionamiento sobre la Tarjeta DE2 para cada una de las descripciones realizadas.

6. DESARROLLO DEL LABORATORIO

Como primer paso procedemos a hacer un bosquejo de los bloques que se van a implementar en el contador como lo son: entradas, salidas, contador, decodificadores y 7 segmentos.

Figura N.1: diagrama de bloques del contador.

Una vez realizado el bosquejo de lo que se quiere procedemos a realizar la implementación en lenguaje VHDL con la ayuda del software Quartus II 13.

Primero realizaremos la descripción con el estilo de programación comportamental (Ver anexo 1)

Seguido de esto procedemos a realizar la descripción del circuito en forma estructural en la cual vamos a realizar los bloques de los componentes por separado para después unirlos todos con una sola entidad (Ver anexo 2)

Después se procede a visualizar las simulaciones para la forma comportamental y estructural (ver anexo 3)

Y por último veremos los esquemáticos correspondientes a cada estilo de programación y de cada contador. (Ver anexo 4)

7. CONCLUSIONES

• La forma de programación estructural se hace muy útil a la hora de programar hardware muy complejo, ya que por medio de esta podemos definir componentes por separado y llamarlos en una entidad matriz y de esta manera ahorraremos espacio, y el trabajo será más organizado.

• El trabajo de diseño de sistemas digitales se simplifica de manera significativa gracias al lenguaje VHDL ya que por medio de este podemos programar hardware y ahorrarnos el trabajo de cablear en protoboard lo cual resulta extenuante a la hora de cablear grandes circuitos.

8. BIBLIOGRAFIA

• Lenguaje para descripción y modelado de circuitos – Fernando Pardo Carpio

• DE1 User Manual

• Introduction to the Quartus® II Software

ANEXOS.

ANEXO 1. Código en VHDL para la implementación del contador de 0000 a 5999 (COMPORTAMENTAL).

-- Electronica Digital II

-- Jhon Fredy Lemus Paipa

-- Codigo: 200820747

-- Contador de 0000 a 5999 con 7 segmentos y leds comportamental

--/////////////////////////////////////////////////////////////////--

...

Descargar como (para miembros actualizados)  txt (16.4 Kb)  
Leer 9 páginas más »
Disponible sólo en Clubensayos.com