ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

Archivos ejercicios vhdl


Enviado por   •  22 de Enero de 2019  •  Apuntes  •  1.410 Palabras (6 Páginas)  •  68 Visitas

Página 1 de 6

[pic 1]                                                                                           [pic 2]

ESCUELA SUPERIOR POLITÉCNICA DE CHIMBORAZO

FACULTAD DE INFORMÁTICA Y ELECTRÓNICA

ESCUELA INGENIERÍA ELECTRÓNICA TELECOMUNICACIONES Y REDES

Nombre: Cristhian Yagos

Código: 245

PARCIAL 2

DECODIFICADOR DE PRIORIDAD DE 7 A 4 líneas

  • CREACIÓN DEL NUEVO PROYECTO

Escogiendo el simulador Cyclone II  por la compatibilidad al momento de simular

[pic 3]

  • CÓDIGO DECODIFICADOR

Establecer el código principal con las debidas variables declaradas tanto para entrada como para salida

library ieee;

--library ieee.std_logic_1164.all;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

entity prueba is

        port (

        I0 : in std_logic_vector (2 downto 0);

        I1 : in std_logic_vector (2 downto 0);

        I2 : in std_logic_vector (2 downto 0);

        I3 : in std_logic_vector (2 downto 0);

        I4 : in std_logic_vector (2 downto 0);

        I5 : in std_logic_vector (2 downto 0);

        I6 : in std_logic_vector (2 downto 0);

        I7 : in std_logic_vector (2 downto 0);

        S1,S2,S3 : OUT std_logic

          );

end prueba;

architecture aprueba of prueba is

        begin

                process (I0,I1,I2,I3,I4,I5,I6,I7)

                begin

                        S1 <= '0';

                        S2        <= '0';

                        S3 <= '0';

                  if I7 = I6 then

                S1 <= '1';

                S2 <= '1';

                S3 <= '1';

                         elsif I7 < I6 then

                        S1 <= '1';

                        S2 <= '1';

                        S3 <= '0';

                        elsif I7 < I5 then

                        S1 <= '1';

                        S2 <= '0';

                        S3 <= '1';

                        elsif I7 < I4 then

                        S1 <= '1';

                        S2 <= '0';

                        S3 <= '0';

                        elsif I7 < I3 then

                        S1 <= '0';

                        S2 <= '1';

                        S3 <= '1';

                        elsif I7 < I2 then

...

Descargar como (para miembros actualizados)  txt (3.4 Kb)   pdf (787.9 Kb)   docx (461.6 Kb)  
Leer 5 páginas más »
Disponible sólo en Clubensayos.com