ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

Sumador Completo De 4bits


Enviado por   •  5 de Febrero de 2019  •  Prácticas o problemas  •  1.139 Palabras (5 Páginas)  •  278 Visitas

Página 1 de 5

Universidad Tecnológica de Puebla[pic 3]

Dispositivos Digitales

Sumador Completo De 4bits


Sumador Completo De 4bits

[pic 4]

1. Introducción

En esta práctica se va a hacer un sumador completo de 4 bits con la ayuda de un programa llamado xilinx este programa diseña, desarrolla y comercializa productos lógicos programables, incluidos los circuitos integrados (CI), herramientas de software de diseño, funciones de sistema predefinidas entregados como núcleos de propiedad intelectual (IP), servicios de diseño, formación del cliente, ingeniería de campo y soporte técnico.

[pic 5]

2. Objetivos

Que el alumno comprenda el lenguaje VHDL y a la vez crear esquemas para el mejor entendimiento de el entorno de programación en FPGA aparte de conocer lo que es un sumador completo de 4 bits y su funcionamiento.

[pic 6]

3. Equipo y Materiales

Software Integra

Tarjeta FPGA

Software ISE Design Suite

Laptop

Cable de Datos USB


[pic 7]

4. Desarrollo

            En electrónica un sumador es un circuito lógico que calcula la operación suma.

En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan las operaciones aritméticas en código binario decimal o BCD exceso 3, por regla general los sumadores emplean el sistema binario.

En los casos en los que se esté empleando un complemento a dos para representar números negativos el sumador se convertirá en un sumador-substractor (Adder-subtracter).

Las entradas son A, B, Cin que son la entradas de bits A y B, y Cin es la entrada de acarreo.

Por otra parte, la salida es S y Cout es la salida de acarreo.

[pic 8]

FIG.1 (Diagrama Conexión Contador 4bits)

La tabla de verdad de un sumador completo es la siguiente:

        

Acarreo de entrada

Entrada B

Entrada A

Acarreo de salida

Suma

Cin

B

A

Cout

S

0

0

0

0

0

0

0

1

0

1

0

1

0

0

1

0

1

1

1

0

1

0

0

0

1

1

0

1

1

0

1

1

0

1

0

1

1

1

1

1


FIG.2 (Tabla de verdad Contador 4bits)

A partir de la tabla se obtienen las ecuaciones que definen el funcionamiento del sumador de 1 bit:

[pic 9]

[pic 10]

Para implementar este módulo se genera un nuevo módulo VHDL con nombre sumador_completo:

[pic 11]


FIG.3 (Nuevo módulo en VHDL)


El código, basado en las ecuaciones del sumador completo de 1 bit es:

[pic 12]

FIG.4 (Código de sumador completo)

Para poder utilizar este diseño para crear un sumador de 4 bits, se debe convertir en un diseño esquemático.

Para generar un símbolo

  • Seleccionamos el componente en la ventana de diseño
  • En la ventana de procesos, dentro de la categoría de Design Utilities, se selecciona el comando Create Schematic Symbol, hacemos doble clic sobre él, esto genera el simbol esquemático de nuestro componente.

[pic 13]


FIG.5 (Design Utilities)

Tras ejecutar el proceso Create Schematic Symbol aparece el siguiente icono al lado del nombre del proceso indicado que el símbolo esquemático se ha creado exitosamente:

...

Descargar como (para miembros actualizados)  txt (8 Kb)   pdf (1 Mb)   docx (781 Kb)  
Leer 4 páginas más »
Disponible sólo en Clubensayos.com