ClubEnsayos.com - Ensayos de Calidad, Tareas y Monografias
Buscar

LENGUAJES DE DESCRIPCIÓN DE HARDWARE: VHDL


Enviado por   •  27 de Septiembre de 2014  •  Exámen  •  2.344 Palabras (10 Páginas)  •  346 Visitas

Página 1 de 10

Capítulo 2:

LENGUAJES DE DESCRIPCIÓN DE HARDWARE: VHDL

– Generalidades

– Ventajas e inconvenientes de los HDL´s

– Características generales del VHDL

– Modelo del Hw

– Introducción al lenguaje VHDL

Lenguajes de descripción de hardware

(HDLs)

• Estos lenguajes fueron desarrollados para hacer frente a la creciente complejidad de los diseños.

• Se puede hacer una analogía con los que se pueden llamar lenguajes de descripción de software:

Lenguajes de descripción de hardware

(HDLs)

• Los HDLs son usados para modelar la arquitectura y

comportamiento de sistemas electrónicos discretos.

• Se utilizan en la fase de diseño

• Necesidad de Simulador lógico

– Herramienta necesaria para reproducir el comportamiento del sistema modelado

– Permite la verificación del sistema diseñado.

• Actualmente existen herramientas software que permiten pasar de dichas descripciones a diseños a nivel de puertas: SÍNTESIS

Lenguajes de descripción de hardware (HDLs).

Síntesis lógica

Síntesis lógica: convierte una descripción de un sistema digital mediante un HDL en una implementación tecnologíca.

Descripción HDL: Puertas

library ieee;

use ieee.std_logic_1164.all;

entity puertas is

port ( A, B, C : in std_logic; Y: out std_logic

);

end puertas;

ARCHITECTURE a of puertas is begin

Y <= (A and B) or C;

end a;

Síntesis

Lenguajes de descripción de hardware (HDLs).

Síntesis lógica

• El hecho de realizar una síntesis lógica obliga a ciertas

restricciones en la tarea de realizar las descripciones con HDLs.

• No se pueden utilizar todas las construcciones de los lenguajes, y las que están permitidas hay que utilizarlas adecuadamente.

• Cada uno de los sintetizadores que existen actualmente en el mercado tienen unas restricciones distintas, si bien, son muy parecidas en cada uno de ellos.

Ventajas del uso de HLDs

• El lenguaje es independiente de la tecnología:

– El mismo modelo puede ser sintetizado en librerías de distintos vendedores.

– Reducción de la dependencia con el fabricante de ASICs, ya que la portabilidad a otra tecnología es mucho más rápida.

– Reutilizar el diseño en componentes tan distintos como ASICs o FPGAs con un esfuerzo mínimo.

Ventajas del uso de HLDs

• Soportan tres estilos de descripción básicos:

– DESCRIPCIÓN COMPORTAMENTAL (behavioral)

– DESCRIPCIÓN DE FLUJO DE DATOS (data-flow)

– DESCRIPCIÓN ESTRUCTURAL (estructural)

Un diseño puede ser descrito con una combinación de los tres estilos.

Ventajas del uso de HLDs

Se puede verificar la funcionalidad del diseño muy pronto en el proceso de diseño.

La simulación del diseño a tan alto nivel, antes de la implementación a nivel de puertas, permite testar la arquitectura y rectificar decisiones en las primeras fases de diseño, con un esfuerzo mucho menor que si

se realizase en fases posteriores.

Ventajas del uso de HLDs

• Sencillez

– Como la descripción se centra más en la funcionalidad que en la implementación, resulta más sencillo para una persona comprender qué función realiza el diseño a partir de una descripción HDL que a partir de un esquemático de interconexión de puertas

begin

Y <= (A and B) or C;

end a;

Ventajas del uso de HLDs

• Ahorro de tiempo

– Facilita las correcciones en el diseño debidas a fallos de diseño o cambio de especificaciones.

– La existencia de herramientas comerciales

...

Descargar como (para miembros actualizados)  txt (17.2 Kb)  
Leer 9 páginas más »
Disponible sólo en Clubensayos.com